Jump to main content.


Research Project Search
 Enter Search Term:
   
 NCER Advanced Search

Final Report: Removal of Photoresist and Post-Plasma Etch Sidewall Films Using Superciritical and Subcritical CO2 with Additives

EPA Grant Number: R829554
Title: Removal of Photoresist and Post-Plasma Etch Sidewall Films Using Superciritical and Subcritical CO2 with Additives
Investigators: Hess, Dennis W. , Levitin, Galit , Myneni, Satya
Institution: Georgia Institute of Technology
EPA Project Officer: Savage, Nora
Project Period: January 1, 2002 through December 31, 2004
Project Amount: $325,000
RFA: Technology for a Sustainable Environment (2001)
Research Category: Pollution Prevention/Sustainable Development

Description:

Objective:

The objective of this research project was to develop a more environmentally benign process for post-plasma etch residue and photoresist removal based on super- or sub-critical carbon dioxide (CO2) mixtures. This objective required characterization of the composition and bonding structure of the residues and an understanding of their interactions with CO2 mixtures at both elevated and ambient pressures. In addition, an understanding of the phase state of the mixture is helpful to permit the design of a suitable process for residue removal.

Summary/Accomplishments (Outputs/Outcomes):

We studied the phase behavior of the cleaning mixtures to establish an improved understanding of removal mechanisms. Ionic conductivity studies were conducted to investigate the interactions of the chemically reactive species in the mixture at elevated pressure. Compatibility of the cleaning mixtures with porous low-k dielectric materials, specifically methyl silsesquioxane (MSSQ), was studied with positronium annihilation lifetime spectroscopy (PALS).

The average surface composition of the untreated etch residue was determined by X-ray photoelectron spectroscopy (XPS) and is shown in Table 1. Surface bonding structure was determined by deconvoluting C1s peak using Gauss-Lorentzian curves, where each of the peaks was identified based on literature values for binding energies (Chastain and King, 1995). Fluorine is present mostly on the surface of the film due to incorporation by the fluorocarbon etch gas, whereas nitrogen is present because it served as a diluent in the plasma atmosphere. The thickness of the fluorocarbon layer deposited on the film material was estimated by continuous low-energy argon beam sputtering while monitoring film composition by XPS. The sample was sputtered until fluorine was not detected; the crater formed as a result of sputtering was then profiled to estimate the thickness of fluorine incorporation. Based on these measurements, the thickness of the fluorocarbon residue was estimated to be 7.8 nm. The bulk photoresist, which is a poly (4-hydroxystyrene)-based polymer, was not modified significantly as a result of plasma etching; this was confirmed by transmission Fourier Transform Infrared Spectrometer (FTIR) spectra obtained from a blanket photoresist exposed to the Coral™ etch plasma.

Table 1. Surface Atomic Composition of the CORAL™ Etch Residue

Carbon

Nitrogen

Oxygen

Fluorine

Silicon

66.59

6.35

15.24

11.82

0

Preliminary experiments were performed in a liquid bath (beaker) to identify solvents that were sufficiently strong to remove the residue, either by attacking the crust or undercutting the capping layer. After treatment, the samples were analyzed using XPS. The results are summarized in Table 2. Propylene carbonate, an additive to CO2 reported previously (Rubin, et al., 1999), was not effective in removing the residue even in the liquid phase where the density and concentration of the chemical species are high. A 25 weight percent (wt %) solution of tetramethylammonium hydroxide (TMAH) in methanol (Sigma) gave a complete clean. The crust, which is the highly cross-linked and fluorinated residue on top of the photoresist, cracked and lifted off the surface during the liquid treatment.

Table 2. Average Si Percent on Samples Treated in Liquid Phase

Mixture

Treatment time (min)

Temperature (°C)

Avg. Si %

DI water

45

70

0

Methanol

45

55

0

25% TMAH in MeOH

45

55

22.27

Acetone

60

20

0

Propylene carbonate

45

70

0

Triethylamine

30

70

2.13

Dimethylsulfoxide (DMSO)

45

70

0

n-methyl pyrolidone (NMP)

45

70

0.49

Acetonitrile

60

55

5.74

0.3M TBAF in Acetonitrile

45

55

25.20

Because CO2 is an acidic gas, it reacts with TMAH to form carbonate and bicarbonate salts of the tetramethylammonium ion. To probe the effect of interactions between TMAH and CO2 on photoresist residue removal efficiency at atmospheric pressure, CO2 was bubbled through 10 mL of the original TMAH solution. Additional bubbling of CO2 into the hydroxide/carbonate mixture resulted in complete dissolution of the precipitate and formation of a homogeneous transparent solution. These observations are in agreement with the observations regarding regeneration of tetraalkylammonium hydroxide by continuous bubbling of CO2 through aqueous tetramethylammonium carbonate solutions, which leads to the formation of tetramethylammonium hydrogen carbonate (Satoh, et al., 1994).

The formation of tetramethylammonium bicarbonate (TMAHCO3) from tetramethylammonium carbonate is not favored in completely aprotic media. In our experiments, however, the original (as purchased) solution of TMAH contains about 5.5 percent water (as determined by Karl-Fisher titration), which can serve as the required proton source for bicarbonate formation. Titration of the above transparent solution confirmed that all tetramethylammonium ions exist as TMAHCO3. The absence of a precipitate indicates high solubility of TMAHCO3 in methanol. These results differ substantially from the results reported previously for analogous bicarbonate salts of alkaline metals.

Similar experiments were performed with 4:1 volumetric mixtures of TMAH in methanol and deionized water. Addition of water to a suspension solution of the carbonate/hydroxide mixture led to complete dissolution of the precipitate.

CO2- Co-Solvent Studies

A matrix of experiments was conducted with combinations of the components as co-solvents in CO2. The purpose of this set of experiments was to identify the role of each component in the mixture. Addition of both water and TMAH is necessary to obtain acceptable residue removal. When the TMAH solution is introduced into CO2, the above reactions result in the formation of a carbonate, followed by a bicarbonate salt. As the carbonate is less soluble in methanol, the salt precipitates and deposits on the walls of the tubing and the view cell. Methanol dissolves preferentially in CO2. Addition of water thus ensures that the salt is soluble in the methanol-water mixture, and some of it partitions into the CO2 phase. Titration of the liquid collected at the entrance of the viewcell, and at the exit, demonstrated that the TMAH completely reacted and formed a bicarbonate salt. Thus, the post-etch residue is exposed to a biphasic mixture containing TMAHCO3 in a semi-aqueous solvent. In the absence of methanol, aqueous TMAH solution is relatively insoluble in CO2; therefore, effective cleaning was not achieved.

We found that at least 6 percent by weight of co-solvent is required to obtain good cleaning performance. Under these conditions, more than one phase exists as observed in the visually “cloudy” fluid.

Role of CO2

The role of CO2 is to provide efficient transport of active chemical species to the treated surface. This role especially is critical as features become smaller, and the surface tension and capillary forces limit transport of liquids into and out of small features and, hence, inhibit efficient removal of residues from the sidewalls and via the bottom. Angle-resolved XPS (AR-XPS) was used to analyze the sidewalls of the features. AR-XPS uses differential shading of the sidewalls to detect the sidewall composition (Ueno, et al., 1997). Normally, the XPS measurements are performed with the angle between the normal and the detector at 45 degrees. At higher takeoff angles, more photoelectrons will be detected from the sidewall. No fluorine or nitrogen was detected even at 75 degrees confirming that most, if not all, of the sidewall residue is removed. The CO2 also swells the film (McHardy and Sawan, 1998) and thus increases film stress, providing a driving force for the film to crack and/or peel from the surface. Extensive cracking of the film has been observed in these studies.

Phase Behavior and Modeling

The above results indicated that knowledge of the phase behavior could prove beneficial in the formulation of CO2 mixtures containing additives for greater cleaning performance. This was one incentive for investigating the phase behavior of these systems with and without water addition. We investigated possible correlations between the cleaning ability of the bicarbonate-CO2 mixture and its phase behavior.

In this study, the phase behavior of CO2-TMAHCO3 mixtures at 70 ° C was explored with two different molar ratios of bicarbonate-methanol in the co-solvent: the phase behavior of the original solution (25 wt % in methanol corresponding to a TMAH/CH3OH mole ratio of 0.127); and the solution after dilution with methanol (TMAH/CH3OH of 0.02). The final mole fraction of the components (CO2, TMAHCO3, methanol, water) was calculated considering the acid-base reaction between TMAH and CO2. A sharp increase (~ 10 ° C) in the mixture temperature was observed upon first addition of CO2 to the original solution of TMAH (at either concentration) at elevated pressure, indicating the exothermic nature of the reactions. An analogous temperature rise was observed during the preparation of TMAHCO3 solutions at atmospheric pressure. Both mixture compositions demonstrate similar trends and show similar phase transition pressures.

Because the phase transition pressure depends on temperature, the phase behavior studies were performed at three different temperatures for diluted bicarbonate solution. The phase behavior of the diluted bicarbonate system rather than the original bicarbonate solution was investigated as a function of temperature because: (1) the single phase can be achieved upon addition of a high mole fraction (> 60%) of CO2 at moderate pressure; and (2) at room temperature and higher salt concentrations, addition of CO2 resulted in the formation of a solid phase, which was not soluble upon further CO2 addition. Further pressure increases resulted in additional precipitation. As expected, a decrease in temperature resulted in a decrease in the phase transition pressure. Therefore, the amount of CO2 that can be incorporated into the mixture at a constant pressure to achieve a single phase increased.

Interestingly, at room temperature, the phase behavior observed was similar to literature values for CO2-methanol and CO2-methanol-water systems. The shape of the single-phase transition isotherm changes at a pressure of 6.3 MPa from concave down to concave up. The same pressure represents an inflection point in CO2-methanol and CO2-methanol-water systems. In the absence of intentionally added water, and at relatively low salt concentrations (mole ratio of TMAHCO3 /CH3OH= 0.020), the phase transition pressure sharply exceeds this inflection point at high mole fractions of CO2 at 25°C. Methanol and CO2 have been found to associate in supercritical and liquid phases. At high mole fractions of CO2 , however, its solubility in methanol decreases. Furthermore, the presence of ionic species in the mixture causes a further decrease of the CO2 solubility in the mixture. Upon addition of water, the transition pressure goes above 6.3 MPa with addition of 30 mol percent of CO2 . Pure water and CO2 are immiscible in each other except in relatively low proportions. Substantially higher mole fractions of water and CO2 , however, can coexist in a single liquid phase (at 25°C) in the presence of methanol. The later has been attributed to the high capacity of methanol to associate with water and carbon dioxide. Salt addition to the mixture results in additional polar interactions in the systems. As mentioned above, the isotherm shape is altered at higher temperature. This result is in good agreement with literature data for CO2 -methanol and CO2 - methanol-water systems. A temperature increase in these systems results in a less distinct inflection point.

During this work, we also collaborated with the group of Professor Eckert at Georgia Tech to model phase behavior of the TMAHCO3/CH3OH/CO2 system using the Peng Robinson (PR) equation of state. First, we used parameters fitted only to binary data to predict ternary system CO2-methanol-water and then to fit the quaternary systems that included TMAHCO3 with as few parameters as possible. Addition of TMAHCO3 complicates the model. First, the degree of dissociation in solution with carbon dioxide is unknown. Second, it decomposes at modest temperatures and in aqueous solutions when not saturated with CO2; little pure component data or binary solution equilibria are available. We chose, therefore, to model the salt only as a pure species. The critical properties were estimated by assuming that TMAHCO3 would be similar to glycine. We found that the Peng Robinson equation of state is capable of modeling the system, but because of the sensitivity to adjustable parameters, it must be used for correlation rather than prediction.

Effect of Phase State on Cleaning

Diluted bicarbonate solution was used to obtain single-phase mixtures, and the (as formed) bicarbonate solution was used to obtain two-phase mixtures. In both single- and two-phase experiments, the mole flow rate of TMAHCO3 was kept constant (0.0262 mole/hour). At 70 ° C and a cleaning time of 45 minutes, the residues were completely removed under both single- and two-phase conditions.

The extent of sidewall residue removal was also evaluated in single- and two-phase mixtures. If feature penetration is a crucial part of the residue removal mechanism, then the single-phase cleaning mixture is expected to show better results. AR-XPS results indicate, however, that samples treated under either condition showed no residue on sidewalls (up to the detection limits of the XPS). This implies that transport within the feature may not be critical in the feature sizes that we studied.

The effect of temperature on residue removal in both regimes also was investigated. To investigate the cleaning efficiency of the mixtures, the flow rates of cosolvent were fixed and the temperature varied. As indicated by detection of silicon in the SiO2 layer beneath the residue, complete removal for both cleaning conditions occurs only at temperatures above 50 ° C. These results suggest that the residue removal is reaction-limited at lower temperatures. The single-phase mixtures result in slightly improved cleaning efficiency (higher Si %) at all temperatures investigated.

Because of the difficulty involved in interpreting cleaning processes on patterned films, the removal mechanism was investigated on plasma-deposited, unpatterned fluorocarbon samples. If there is a chemical attack of the fluorocarbon film by the CO2-bicarbonate mixture and removal is reaction limited, a change in F:C ratio as a function of temperature is expected. To verify the effect of bicarbonate on residue removal, a fluorocarbon film was exposed to CO2 only at 70 ° C and 3000 psi (control sample). It should be noted that at 50 ° C, methanol alone did not change the F:C ratio. At 25 ° C, addition of bicarbonate in single or two-phase mixtures does alter the F:C ratio. These results confirm our previous assumption that attack of the photoresist crust is kinetically limited. At 70 ° C, a significant reduction in F:C ratio was observed with the single-phase mixture, but no change relative to the control sample was observed with the two-phase mixture. Such results imply that at constant mole flow rate, the single-phase mixture is more efficient with respect to chemical attack of the fluorocarbon film than is the two-phase mixture. This is consistent with the fact that in the two-phase mixture, the bicarbonate concentration in the vapor phase is expected to be orders of magnitude lower than the concentration in the liquid phase. Thus, when a sample is exposed to the two-phase mixture, the amount of bicarbonate that contacts the sample surface is much lower than in the single-phase mixture.

The minimum time required for complete residue removal also confirmed that the amount of bicarbonate that contacts the sample surface is crucial. We demonstrated that cleaning with the single-phase mixture was more efficient; a shorter time relative to a two-phase mixture was required for complete residue removal (Levitin, et al., 2004a). The extent of cleaning obtained at any time was also improved with single-phase mixtures. A direct correlation also can be observed from the separate fluorocarbon experiments. No residue removal occurs with the two-phase mixture after a 15-minute treatment. The single-phase mixture, however, removed the residue completely. It also should be noted that an increase in flow rate tO24 mL per hour in the two-phase region also results in complete residue removal in less than 15 minutes.

Mechanistic Studies

An understanding of the mechanism of etch residue removal is important to tailor the process for the removal of different types of etch residues while inhibiting attack of dielectric films. In this project, experimental studies were conducted to gain insight into the mechanism of photoresist residue removal using an elevated pressure single-phase CO2-based mixture. Several techniques were employed to probe the chemical interaction between the residue and the cleaning mixture and to identify the primary removal mechanism. The photoresist residue was also extensively characterized to determine its chemical nature and extent of cross-linking.

In addition to the patterned etch residue samples, thin model films also were used in this study to assist in understanding the removal mechanism. Attenuated total reflection (ATR)-FTIR spectroscopy was used for compositional analyses of the fluorocarbon films.

The cleaning process was modeled as a combination of one or more of the following phenomena:

Each of these possible mechanisms has been investigated in detail. Model etch residue films and fluorocarbon films were used to gain insight into the removal mechanism. Analytical techniques such as XPS, ATR-FTIR, and interferometry were used to probe the surface processes that occur during high-pressure cleaning treatments.

Dissolution of the Soft Photoresist. In the presence of TMAHCO3, the samples appeared similar to those after CO2-methanol treatments but with greater surface irregularities. No removal was observed on the patterned etch residue samples without a rinse step. With TMAHCO3 addition, more polyhydroxystyrene-tertbutoxycarbonyl (PHOST-tBOC) dissolved, perhaps because of a very thin layer of liquid (TMAHCO3 rich) that remained on the sample after treatment. Unlike the patterned etch residues, the model etch residues were removed, albeit incompletely. In the patterned etch residue sample, the top crust is held to the substrate through the sidewall polymer. Thus, it is more difficult to remove the patterned residues. This observation is consistent with the fact that residue in the densely patterned areas was the most difficult to remove using CO2-TMAHCO3-methanol mixtures followed by a methanol rinse.

Chemical Attack of the Fluorocarbon Residue. If the cleaning mixtures chemically attack the fluorocarbon crust, the reaction kinetics may limit residue removal. This mechanism was verified by exposing blanket fluorocarbon films to the TMAHCO3-methanol mixture, which is the active ingredient in the cleaning chemistry. Because the fluorocarbon residues deposited during the etching process are thin (< 10 nm), thicker films deposited on a Si wafer were used in this study. The resulting films had carbon bonding structures similar to those of the patterned etch residue samples (Levitin, et al., 2004b). The fluorocarbon films were exposed to atmospheric pressure TMAHCO3-methanol solutions and the surface composition was analyzed by XPS.

No silicon (from the substrate) was detected after a 15-minute treatment, implying that the films were not removed. Ellipsometric thickness measurements revealed a negligible decrease in thickness after treatment. When treated for 30 minutes, however, the films lift from the edges of the samples. This indicates that these samples are vulnerable to attack at the interface between the film and the Si substrate. There is a small reduction in fluorine concentration and a corresponding increase in oxygen and carbon concentration. Apparently, these basic alcohol solutions cause dehydrofluorination of the residue at elevated temperatures (Morrison and Boyd, 1983). It also is plausible that the TMAHCO-methanol mixture extracted some uncross-linked, low molecular weight fluorocarbon molecules from the film.

We confirmed that dehydrofluorination occurs during TMAHCO3-methanol treatments. A scanning electron micrograph of the sample revealed defect creation on the surface such as ‘pitting’ or ‘wrinkling’, which is likely a result of extraction of small fluorocarbon fragments from regions with pinholes in the film or stress induced cracking. The extent of surface damage was greater when patterned etch residues were treated in these mixtures, probably the result of a more permeable crust and the presence of soft (uncrosslinked) photoresist beneath the crust.

Undercut of the Residues. Dehydrofluorination or local extraction of fluorocarbon species by the TMAHCO3-methanol mixture may not cause complete residue removal. These mechanisms do not break down the residue into smaller fragments and cannot account for the crust lifting from the surface during rinsing. The later observation suggests that a loss of adhesion between the residue and the substrate may be the dominant removal mechanism. The cleaning mixture may attack the interface and cause the residue to lift-off during the rinsing step that follows. Undercut may be caused by attack of Si-O bonds (between the residue and the capping layer or the dielectric) by OH - ions in the weakly basic cleaning mixture (Kamal and Hess, 2001). Furthermore, F - ions generated during dehydrofluorination of the fluorocarbon residue also may contribute to this mechanism (Williams and Muller, 1996).

The viability of this mechanism was verified by measuring the etch rates of the SiO2 capping layer in TMAHCO3-methanol solutions. Blanket films of plasma deposited SiO2, the capping layer in the patterned samples, were exposed to TMAHCO3-methanol solutions at atmospheric

pressure and at elevated pressures; the average etch rate was calculated from the ellipsometric thickness before and after treatment. Measurements were taken at the same area on the samples and were repeated for consistency. The etch rate of CORAL™ low-k dielectric also was monitored to determine if these cleaning mixtures etch the dielectric. A slight undercut of the dielectric may also aid in removal of the sidewall polymer. The average etch rate of plasma deposited SiO2 in the TMAHCO3-methanol mixture (concentrated solution) was 0.55 ± 0.05 nm/hour at 50 ° C and approximately 0 nm/hour at 20 ° C. CORAL™ etched at 0.36 ± 0.02 nm/hour and 0.19 ± 0.19 nm/hour at 50 ° C and 20 ° C, respectively. These measurements support the hypothesis that undercut of the residues may be the dominant mechanism of residue removal. Apparently, elevated temperatures are necessary to attack the interface and facilitate residue lift-off, which is consistent with the fact that residue removal using TMAHCO3-methanol mixtures was limited by temperature. During rinsing, the rinse solvent then can remove the loosened residue from the surface.

Although TMAHCO3-methanol mixtures appear to rely on undercutting to remove residues, the etch rates of SiO2 and CORAL™ in the mixtures used are low. The International Technology for Semiconductors (ITRS) roadmap stipulates that the dielectric thickness loss caused by cleaning steps must be less than 2.5 percent (Interconnect, 2003). Thus, for a 90 nm via, the amount of dielectric etched during the cleaning step must be less than 2.25 nm. The low etch rates of CORAL™ (0.36 nm/hour) and SiO2 (0.55 nm/hour) in these solutions ensure that this requirement is satisfied. In addition, FTIR measurements of films after treatment indicate no change in the bonding structure of the bulk dielectric film. Therefore, these mixtures are compatible with dual damascene processing with CORAL™ as the dielectric material (or other materials with similar bonding structure). In fact, negligible etch rates were observed on samples exposed to CO2-TMAHCO3-methanol mixtures. This could be caused by the lower concentration of TMAHCO3 in the high-pressure mixture relative to the liquid mixture. Nevertheless, the etch rate is still sufficient to undercut the residue and loosen it. Addition of water (1 volume per 4 volumes of TMAHCO3-methanol) to the cosolvent resulted in an increase in SiO2 etch rate to 1.6 nm/hour, caused by greater dissociation of TMAHCO3 in the high dielectric constant medium, which formed a higher concentration of OH - (which is responsible for etching) in the presence of water. The presence of excess water may be detrimental to the compatibility of cleaning solutions that rely on an undercut mechanism. In these experiments, addition of water resulted in two-phase mixtures, as pressure and temperature were constant.

Conductivity Studies

The ionic conductivity of TMAHCO3/methanol/CO2 mixtures at various salt concentrations and room temperature was measured and results were interpreted in terms of ion aggregation and dielectric constant variations. The specific mixtures investigated were chosen to correspond to concentration ranges suitable for the cleaning of post-plasma etch residues. In addition, the effect of pressure and CO2-methanol mole fraction also was studied. At room temperature, the mixture of TMAHCO3/methanol/CO2 behaves as a gas expanded liquid. Various volumes of three different bicarbonate concentrations were loaded into the cell prior to initiation of the experiment. Further concentration change was caused by addition of CO2 and the change in the final volume of the mixture. Departure from the linear behavior predicted by Kolhrausch’s empirical law may indicate the formation of ionic aggregates. It is interesting to note that at the same final concentration of TMAHCO3 (which is a function of initial concentration and the amount of added CO2) different molar conductivity values are observed. This observation implies that the amount of added CO2 has a significant effect on the ionic behavior of the bicarbonate.

The specific conductivity of the mixture decreases with CO2 addition and demonstrates strong dependence on the initial concentration of the salt, especially in the methanol rich region. When the conductance data are normalized to the TMAHCO3 concentration (molecular conductivity) and plotted against mole fraction of CO2, however, a nearly linear dependence is observed. Furthermore, deviation from a straight line is observed in the methanol rich region. In general, the ionic conductivity of the solution is determined by salt dissociation/association, ionic diffusivity, viscosity, and dielectric constant of the medium. There is little change in ionic conductivity of the mixture with an increase in pressure. In all cases, however, the ionic conductivity is slightly lower at higher pressures, which can be attributed to an increase in mixture viscosity. In our studies, we found that the addition of a large mole fraction of CO2 has a most pronounced effect on ionic conductivity, which implies that dielectric constant is the primary factor responsible for the decrease in conductivity with increasing pressure for solutions of TMAHCO3 in methanol/CO2.

Based on the available data, we believe that the linear decrease in ionic conductivity of TMAHCO3 with CO2 addition is a result of a decrease in the dielectric constant of the CO2-methanol mixture; measurements of dielectric constant for these media are being performed. Deviation from the straight line in the methanol-rich region implies that small additions of CO2 do not change the dielectric constant of the bulk solution significantly, whereas other properties (e.g., viscosity, ionic diffusivity of the medium) have a substantial impact on the ionic conductivity of the solution. Clearly, phase state (liquid vs. super-critical) will have a significant impact on the solution transport properties, especially in the CO2 rich region.

Compatibility Studies

To assess the applicability of the cleaning methods developed to integrated circuit (IC) fabrication, compatibility studies of CO2-TMAHCO3-methanol cleaning mixtures with a porous low-k dielectric material (methyl silsesquioxane or MSSQ) have been performed. Porous low-k films are expected to replace SiO2 or C-doped SiO2 as the intermetal dielectric in future semiconductor devices. The purpose of this study was to determine if these films are more susceptible to chemical modification because the additives can diffuse into the porous structure. Because of their enhanced mass transport properties, high pressure CO2 based fluids can penetrate the pores faster than aqueous or organic solvents (Spuller, 2003). To address such concerns, the impact of these high-pressure fluids on the pore structure and composition of porous MSSQ was investigated.

PALS Technique – Experimental Details . PALS has recently been used to study the integration challenges of porous low-k films with Cu metallization (Sun, et al., 2002; Sun, et al., 2003). The advantage of PALS is its ability to analyze open (interconnected) and closed (isolated) pores, analyze films under barriers (e.g., patterned features with diffusion barriers), and perform depth profiling (by changing the positron beam energy). PALS was used to study the pore structure of the porous MSSQ film before and after various high-pressure treatments.

PALS measurements were performed at the University of Michigan typically within 7 to 10 days after the high-pressure treatments. For the MSSQ samples treated under different sets of conditions, positionium (Ps) lifetimes and corresponding intensities are deduced from fitting the PALS spectrum. The percentage of positrons forming Ps can be sensitive to the change of chemical environment after the cleaning treatment. Certain molecules (e.g., CCl4) present in the film inhibit Ps formation by capturing free electrons that the positrons must capture to form Ps (Ito, 1988). The pores present in the JSR MSSQ films are highly interconnected. Ps formed in the film can diffuse out of the film into the vacuum and decay with the vacuum lifetime (140 ns) and, therefore, cannot provide pore size information about the film. For pore size measurements, a thin film of SiO2 or aluminum was deposited onto the MSSQ to confine o-Ps to the film. The deposited capping layer must be thin in order for the positrons to penetrate into the film underneath, and at the same time form a continuous layer to prevent Ps escape. A 70 nm layer of SiO2 (by radio frequency (RF) sputtering) or aluminum (diode DC sputtering) has been used for this purpose. The plasma power was adjusted to prevent heating of samples during sputtering.

Transmission FTIR was first used to determine if MSSQ underwent detectable changes in bonding structure after exposure to the single-phase cleaning mixtures. The FTIR spectrum of this sample was compared to the spectrum of an untreated sample. The sample exposed to the single-phase mixture did not undergo detectable changes in bonding structure. The sample exposed to O2 ashing underwent oxidation; the CH3 groups in the MSSQ structure were oxidized, leaving behind Si-OH moieties in the film. This is evident from the decrease in C-H stretching vibration peaks (2900-3000 cm-1) and in the symmetric CH3 deformation peak at approximately 1250 cm-1, combined with a corresponding increase in the O-H stretching vibration peak (~3500 cm-1). This renders the film hydrophilic and increases the dielectric constant of the film. Thus, as reported previously, oxidizing plasmas should not be used to remove etch residues from porous MSSQ based dielectrics.

Samples Without a Capping Layer . Another set of samples processed under the same conditions was also analyzed by PALS. In addition to the above samples, a sample treated in CO2 alone and a sample treated in CO2-TMAHCO3-methanol under two-phase conditions also were included for comparison. Considering the 400 nm nominal thickness of the samples, three beam energies (1.1, 2.1, and 3.1 keV) were chosen to depth-profile the films. These beam energies correspond to mean implantation depths of 32 nm, 97 nm, and 183 nm for the MSSQ film density of 0.93 g/cm 3. The samples were treated as follows: A: CO2 only, 3000 psi, 70 ° C, 45 minutes; B: CO2-TMAHCO3-methanol under two-phase conditions, 3000 psi, 70 ° C, 45 minutes; C: CO2-TMAHCO3-methanol under single-phase conditions, 3200 psi, 70 ° C, 45 minutes; D: TMAHCO3 in methanol (beaker at atmospheric pressure), 50 ° C, 30 minutes; E: downstream O2 ashing for 1 minute.

The majority of Ps formed in the films A through D was annihilated with the vacuum lifetime (Table 3); thus, the mesopore size could not be determined. Typical of LKD 5109™, the pores were highly interconnected, and Ps readily diffused through the mesopore network and escaped through the film surface into vacuum. It is noticeable that the processing of films A through D produced a gradual decrease in the fitted Ps intensities at all beam energies. This observation appears consistent with an overall decrease in the Ps formation intensity throughout the film, and there is no evidence of a depth dependent pore morphology change, such as pore collapse or surface densification of the film. If the vacuum Ps intensity had decreased with a concomitant increase of Ps intensity in micropores, this would be an indication of densification. The fraction of Ps that escaped through the pore network (Ivacuum/I Ps) remained essentially constant, suggesting that the mesopore structure was unaffected. The small reduction in Ps formation by processing probably suggests that the chemistry of the film may be altered slightly; there is increased electron or positron scavenging that reduced Ps formation. In stark contrast, the decrease of vacuum Ps intensity in sample E is accompanied by an increase of Ps intensity decaying with micropore lifetimes. This indicates that the mesoporous structure has partially collapsed, supporting the conclusions from the previous section that oxidizing plasmas are not compatible with low-k materials that have a carbon-doped SiO2 structure.

As a systematic check, the untreated film was mounted back into the PALS vacuum chamber after exposure to air for 12 months to test the effects of air exposure and moisture absorption on what is nominally a hydrophobic organosilicate glass. This “moisturized” film shows a decreased Ps formation intensity of 3 to 4 percent at all three beam energies. In situ (in-vacuum) annealing of the sample at 250ºC for 1 hour recovers the Ps intensity. There is even a hint that the “annealed” film has slightly higher Ps formation than the untreated film, which presumably already possesses some moisture. Consistent with the results described above, water absorption affects the Ps formation fraction but apparently has no effect on the pore structure.

The largest reduction in Ps formation intensity (except for the “ashed” sample) occurred in a sample treated in CO2 alone. This suggests that CO2 (or the high pressure) treatment, rather than the cosolvent additives, may have the most prominent effect on the Ps intensity reduction. This observation was verified by exposing a series of samples to CO2 for different time periods and measuring the resulting Ps formation intensities. Even a 5-minute exposure of the sample to CO2 decreased the Ps formation by 6 percent (absolute).

Longer CO2 exposures further decreased the Ps intensity, but the effect appeared to saturate when the exposure time was more than 20 minutes. Treatment at a lower temperature (room temperature) produced less suppression of the Ps formation. These results are consistent with either diffusion of contaminants (e.g., TMAHCO3, water, methanol) into/out of the film or chemical interactions between CO2 and MSSQ; our measurements do not permit a distinction between these possibilities.

Samples With a Deposited Capping Layer . To measure the pore sizes in the film, a 70 nm thick SiO2 was deposited onto the samples by RF sputtering. The SiO2 capping layer confines Ps within the film, resulting in a Ps lifetime characteristic of the pore size. For the capped samples, only one beam energy, 4.1 keV (optimized for penetration through the capping layer and stopping in the dielectric film), was used to measure the average Ps lifetime inside the porous network of the film. The 140 ns vacuum component that was so robust in the uncapped films has virtually vanished, and a strong component near 50 ns appears. Samples A through D demonstrate essentially unchanged pore sizes. Small changes in pore sizes may also result from

Table 3. PALS Lifetimes and Corresponding Ps Intensities for Porous MSSQ Samples Treated Under Different Conditions

Sample

Energy

(keV)

Component 1

Component 2

Ivacuum

(%)

IMicro

(%)

IPs

(%)

τ1 (ns)

I 1 (%)

τ2 (ns)

I2 (%)

Untreated

1.1

/

/

/

/

46

5

47

2.1

1.3 ± 0.2

2.3 ± 0.4

13.3 ± 0.8

2.9 ± 0.1

43

5

46

3.1

1.4 ± 0.1

4.0 ± 0.3

11.8 ± 0.7

3.4 ± 0.1

38

7

44

A (CO2 only)

1.1

/

/

/

/

39

4

39

2.1

1.5 ± 0.2

1.9 ± 0.2

12.5 ± 0.8

2.5 ± 0.1

35

5

38

3.1

1.6 ± 0.1

3.3 ± 0.2

12.3 ± 0.8

3.0 ± 0.1

32

6

37

B (two-phase mixture)

1.1

/

/

/

/

38

5

39

2.1

1.4 ± 0.1

2.5 ± 0.3

12.1 ± 0.6

2.8 ± 0.1

35

5

38

3.1

1.3 ± 0.1

4.8 ± 0.4

10.1 ± 0.5

3.3 ± 0.1

30

8

37

C (single-phase mixture)

1.1

/

/

/

/

36

4

36

2.1

1.2 ± 0.1

2.9 ± 0.6

12.4 ± 0.7

2.6 ± 0.1

32

5

35

3.1

1.2 ± 0.1

4.6 ± 0.7

10.7 ± 0.5

3.1 ± 0.1

28

7

34

D (beaker treatment)

1.1

/

/

/

/

35

5

36

2.1

1.1 ± 0.1

3.4 ± 0.8

11.6 ± 0.7

2.2 ± 0.1

31

6

35

3.1

1.2 ± 0.1

5 ± 2

9.0 ± 0.5

2.5 ± 0.1

28

7

34

E (downstream asher)

1.1

/

/

/

/

27

4

27

2.1

2.1 ± 0.2

2.0 ± 0.2

10.6 ± 0.5

3.2 ± 0.1

23.5

5.2

27

3.1

1.3 ± 0.1

7 ± 1

9.7 ± 0.3

3.8 ± 0.1

19.4

10.8

28

local nonuniformities in low-k sample processing (MSSQ curing). The 50 ns Ps lifetime (i.e., 2.8 nm in cylindrical diameter) was in agreement with past results on LKD 5109™ (Das, et al., 2002). Any physical (only) damage from the cleaning process (such as pore collapse) may decrease the pore size and change the relative fraction of Ps annihilating in the micropores and mesopores but will not influence the total Ps formation in the film (this partial pore collapse is precisely what happens in the O2 ashed film, sample E). Therefore, the decreased Ps formation may result from slight alterations in the film chemistry as a result of the cleaning process. Because PALS is not an absolute porosity measurement but a pore size and pore connectivity metrology (Petkov, et al., 2001), the overall porosity change was confirmed using ellipsometry.

Porosity From Variable Angle Spectroscopic Ellipsometry . The mean thickness and porosity for various samples estimated by ellipsometry using the effective medium approximation (Maxwell-Garnett) model is summarized in Table 4. A small increase (~ 1%) in overall porosity of the film was observed upon very fast depressurization of CO2 (< 30 seconds from 3000 psi to atmospheric pressure). The porosity of the film increased to a greater (~ 1.6%) extent after treating the film in a TMAHCO3-methanol solution at atmospheric pressure (Sample D). This increase, however, may not be significant considering the spatial variation in the porosity of the untreated samples. The error associated with the model fit is less than 1 nm for thickness and 1 to 5% for porosity. Considering the spatial nonuniformities in the film and the error associated with the effective medium approximation model fitting, it is reasonable to conclude that the change in overall porosity of the film and etch rate of MSSQ by the cleaning mixture is negligible.

Table 4. Porosity and Thickness of MSSQ Samples Before and After TMAHCO3-Methanol Based Treatments

Before treatment

After treatment

Sample

Thickness (nm)

Void %

Thickness (nm)

Void %

A (CO2 alone, very fast depressurization)

403.9

28.1

404.2

29.1

B (CO2 alone, very slow depressurization)

404.5

28.2

404.9

28.1

C (CO2-TMAHCO3-methanol mixture)

408.1

27.8

408.0

28.3

D (TMAHCO3-methanol)

405.8

28.6

405.4

30.2

References:

Chastain J, King RC. Handbook of X-ray Photoelectron Spectroscopy, Physical Electronics Inc., 1995.

Ito Y. Radiation chemistry: intraspur effects and positronium formation mechanisms. In: Schrader DM, Jean YC, eds. Positron and Positronium Chemistry. Amsterdam: Elsevier Science Publishing Company, 1988, pp. 120-158.

Petkov MP, Weber MH, Lynn KG, Rodbell KP. Applied Physics Letters, 2001;79(23):3884-3886.

Rubin JB, Davenhall LB, Taylor CMV, Sivils LD, et al. Proceedings of the IEEE International Symposium on Electronics and the Environment, Volume 13, 1999.

Satoh H, Fukui M, Kawakami C. U.S. Patent No. 5,354,434, 1994.

Spuller MT. Ph.D. Dissertation, Georgia Institute of Technology, 2003.

Interconnect, International Technology Roadmap for Semiconductors, 2003.

Kamal T, Hess DW. Journal of Vacuum Science and Technology 2001;B19(2):461-466.

Levitin G, Myneni S, Hess DW. Post plasma etch residue removal using CO2-TMAHCO(3) mixtures: Comparison of single-phase and two-phase mixtures. Journal of the Electrochemical Society 2004;151(6):G380-G386.

Levitin G, Myneni S, Hess DW. Journal of The Electrochemical Society 2004b;151(6):1-7.

McHardy J, Sawan SP. Supercritical Fluid Cleaning: Fundamentals, Technology And Applications. Westwood, NJ: Noyes Publications, 1998.

Morrison RT, Boyd RN. Organic Chemistry. 4th Edition. Boston, MA: Allyn and Bacon, Inc., 1983.

Sun JN, Gidley DW, Hu Y, Frieze WE, et al. Applied Physics Letters 2002;81(8):1447-1449.

Sun JN, Hu Y, Frieze WE, Chen W, et al. Journal of The Electrochemical Society 2003;150(5):F97-F101.

Ueno K, Donnelly VM, Kikkawa T. Journal of the Electrochemical Society 1997;144(7):565.

Williams KR, Muller RS. Journal of Microelectromechanical Systems 1996;5(4):256-269.


Journal Articles on this Report: 5 Displayed | Download in RIS Format

Other project views: All 15 publications 8 publications in selected types All 7 journal articles

Type Citation Project Document Sources
Journal Article Levitin G, Myneni S, Hess DW. Post plasma etch residue removal using CO2-TMAHCO(3) mixtures: Comparison of single-phase and two-phase mixtures. Journal of the Electrochemical Society 2004;151(6):G380-G386 R829554 (2002)
R829554 (2003)
R829554 (Final)
not available
Journal Article Levitin G, Hess DW. Ionic conductivity of elevated pressure TMAHCO(3)/MeOH/CO2 mixtures. Electrochemical and Solid State Letters 2005;8(1):G23-G26 R829554 (Final)
not available
Journal Article Myneni S, Hess DW. Post-plasma-etch residue removal using CO2-based fluids. Journal of the Electrochemical Society 2003;150(12):G744-G750 R829554 (Final)
not available
Journal Article Myneni S, Peng H-G, Gidley DW, Hess DW. Compatibility of High Pressure Cleaning Mixtures with a Porous Low Dielectric Constant Film: A positronium Annihilation Lifetime Spectroscopic Study. Journal of Vacuum Science and Technology B 2005;.23(4): 1463. R829554 (Final)
not available
Journal Article Petkov MP, Weber MH, Lynn KG, Rodbell KP. Porosity characterization by beam-based three-photon positron annihilation spectroscopy. Applied Physics Letters 2001;79(23):3884-3886 R829554 (Final)
not available
Supplemental Keywords:

solvents, wet chemistry, environmentally benign, sub- and supercritical CO2, cleaning, etch residues, waste minimization, phase behavior, ionic conductivity, mechanistic studies, PALS, , Sustainable Industry/Business, Scientific Discipline, RFA, Technology for Sustainable Environment, Sustainable Environment, Chemical Engineering, Chemistry, cleaner production/pollution prevention, Environmental Chemistry, New/Innovative technologies, Engineering, supercritical carbon dioxide, waste reduction, co-solvents, electronics , waste minimization, environmentally conscious manufacturing, subcritical CO2, environmentally friendly technology, integrated circuit fabrication, organic films, environmentally conscious design, supercritical carbon dioxide (SCCO2) technology, organic residues

Progress and Final Reports:
2002 Progress Report
2003 Progress Report
Original Abstract

Top of page

The perspectives, information and conclusions conveyed in research project abstracts, progress reports, final reports, journal abstracts and journal publications convey the viewpoints of the principal investigator and may not represent the views and policies of ORD and EPA. Conclusions drawn by the principal investigators have not been reviewed by the Agency.


Local Navigation


Jump to main content.