Manufacturing Engineering Laboratory NIST logo
HOME About MEL Research products and services what's new search

Return to
Programs page

Projects

Scale Metrology

Linewidth Metrology

Overlay and Registration Metrology

 

 

 

 

PDF of Program write-up

 

 

 

 

 

 

 

 

melwebmaster

Date created:
March 28, 2008
Last updated:
May. 07, 2008

 

Return to top

Return to top

Return to top

Return to top

Return to top

Return to top

Return to top

Return to top

Precision Engineering Division Program

C-AFM image of a 500 nm linewidth
C-AFM image of a 500 nm linewidth

Nanomanufacturing Metrology Program

Meeting Today’s Industry Needs

Annual FTEs: 11 NIST Staff

6.5 Guest Researchers/Contractors

17.5 total FTEs

Challenge:

To provide accurate dimensional metrology at the nanoscale level for current nanomanufacturing industries, such as semiconductor, data storage, and photonics. The challenge is to advance U.S. leadership in nanomanufacturing through the development of physical standards traceable to the International System of Units (SI) and through the development of physics-based models and calibration techniques, to enable accurate determination of true dimensional information from metrology instrumentation.

Overview

The Nanomanufacturing Metrology (NanoMet) Program aims to provide standards and measurement science to meet the current dimensional metrology needs of nanomanufacturing industries. The semiconductor manufacturing industry is a major focus. The program keeps abreast of evolving metrology needs through active interaction with industry leaders and industry consortia such as SEMATECH, as well as by reference to the International Technology Roadmap for Semiconductors (ITRS), to which the U.S. Semiconductor Industry Association (SIA) is a major contributor. The program also serves other industries, government agencies, and academic researchers that need traceable dimensional metrology at the sub-micron scale. An example is the development of traceable and repeatable metrology for bullets and casings for forensic science purposes.

The current general project areas are:

  • Scale Metrology The fundamental need is for accurate scale calibration and traceability. The Linescale Interferometer provides world-class one-dimensional scale calibrations traceable to the SI as verified by international intercomparisons. Current work is focused on further increasing its reliability and minimizing measurement uncertainties. Two-dimensional scale measurements are provided by a Nikon 5i wafer and photomask metrology instrument that operates over a 150 mm by 150 mm range as well as the NIST-developed calibrated atomic force microscope (C-AFM) which operates over a 50 µm by 50 µm range.
  • Linewidth Metrology For current manufacturing metrology problems, scale calibration is often just the first step. The basic need is to determine the size of physical features. In semiconductor manufacturing, this is referred to as critical dimension (CD) metrology. The central challenge is to define the position of the edge of a feature within the measuring instrument’s response profile. The response profile can be sharpened by using shorter-wavelength light in optical microscopy (OM), smaller beam focus spots in scanning electron microscopy (SEM), or sharper, better characterized tips in scanning probe microscopy (SPM) and CD atomic force microscopy (CD-AFM). Ultimately, the response profile width becomes a more and more significant fraction of the total measurement uncertainty as feature sizes continue to decrease, as is happening in semiconductor manufacturing and nanomanufacturing. Consequently, significant physics-based modeling is being undertaken to address this phenomenon and determines the response functions for the different methods. Validation of the models can be accomplished only by intercomparison between the methods. Significant modeling efforts are ongoing for OM, SEM, SPM, and CD-AFM. Standard Reference Material (SRM) calibration standards have been delivered and more are under development. We are also developing highly-engineered reference metrology instruments with OM, SEM, and SPM imaging probes, using interferometer-based stage motion measurements and/or mapped digital camera image capture systems.
  • Overlay and Registration Metrology An additional major thrust of the program is the development of advanced techniques for overlay and registration metrology. Optical techniques are often best suited to this task. Novel overlay target structures are being patented jointly with the leading industrial consortium, SEMATECH, to provide improved overlay resolution in a smaller, in-chip format of sub-resolution features. These recently developed high resolution optical overlay techniques are extensible for a number of manufacturing generations. SRMs for overlay calibration have been designed and delivered as well. The development of new target designs, instrument optimization and modeling, and calibration techniques is a continuing focus, undertaken jointly with key industrial partners.

Key Accomplishments and Impacts:

  • Delivered SRM 5001, a 150 mm by 150 mm grid plate reference artifact. Reported measurement uncertainty is less than 30 nm with a coverage factor of two. (Nikon 5i, LSI)
  • Completed experiments for next generation of single crystal critical dimension reference material (SCCDRM) project. (CD-AFM)
  • Delivered NIST Standard Reference Material 2059, Photomask Linewidth Standard.
  • Ported SEM imaging modeling code, MONSEL, from a restricted geometry version to a general 3-D geometry version, extending the number and types of samples and measurements that can benefit from the methods we have developed.

Future Directions and Plans:

The NanoMet Program is continually seeking new metrology opportunities to assist industry and reviewing existing projects for consistency with program objectives. An ongoing goal is to refine calibration methods and standard artifacts in order to reduce the uncertainty in the realization of the SI definition of the meter as it is supplied to industry. This project relies on continual improvement in instrument performance and consistency of measurements between instruments and methods.

Projects

Nanomanfacturing Metrology Program

Scale Metrology Project

Challenge/Problem Addressed:

The fundamental basis for length metrology is traceability to the definition of the meter in the International System of Units (SI). The challenge is to realize this definition in practice through qualified metrology instruments and calibrated standards and reference materials that form the basis for dissemination.

This project focuses on the needs of the nanomanufacturing industry. Industry instruments to be calibrated include mask and wafer metrology microscopes, scanning electron microscopes (SEM), and atomic force microscopes (AFM).

Three instruments within the Nanomanufacturing Metrology (NanoMet) Program specifically address scale calibration. The Linescale Interferometer (LSI) provides one-dimensional scale calibrations up to one meter in length. Its performance and accuracy is validated through international comparisons, most recently the “NANO 3: Line Scale Standards” comparison, performed under the auspices of the International Bureau of Weights and Measures (BIPM). The LSI provides calibrations for customers in the nanomanufacturing industry, such as the metrology tool supplier, Nikon. It is also the traceability link for most of the other metrology instruments within the NanoMet Program.

A Nikon 5i Measuring System provides two-dimensional scale measurements applicable to photolithography masks. This instrument uses an optical microscope to locate fiducials and has laser-interferometer guided motion stages. For qualification, the scale calibration is traceable to a reference artifact measured on the LSI. Uniformity and orthogonality of the two axes are characterized through self-calibration methods, e.g., repeated measurements of the same artifact with rotations, translations, and reversal.

At the smaller length scales needed for AFM and SEM two-dimensional scale calibrations, a calibrated AFM (C-AFM) is used. The C-AFM is a custom-built instrument that has built-in metrology on all three axes of motion traceable to the SI meter through the 633 nm wavelength of a He-Ne laser. This also allows vertical scale calibrations, i.e., step height, to be provided by the C-AFM. The instrument, now in its fourth generation, provides reference measurements for commercial standards suppliers and is used to participate in international comparisons for nanometrology.

Objective(s):

  • Provide accessibility to the SI unit of length to the nanomanufacturing industries, such as semiconductor manufacturing, data storage, and photonics, by means of reference metrology instruments and calibration standards that are compatible with industry metrology instrumentation.
  • Push the limits of length scale measurement and identify the current limits to length scale measurement as shown by uncertainty budgets and develop new technology to reduce them.

Accomplishments:

  • Delivered SRM 5001, a 150 mm by 150 mm grid plate reference artifact. Reported measurement uncertainty is less than 30 nm with a coverage factor of two. (Nikon 5i, LSI)
  • Completed NIST participation in NANO 5, an international comparison of two-dimensional pitch measurements carried out under BIPM. Six measurands were reported on: x-axis pitch, y-axis pitch, and the angle of non-orthogonality on two different specimens. (C-AFM)
  • Provided scale calibration for both AFM and SEM metrology that was used in the current NIST gold nanoparticle SRM. (C-AFM)
  • Developed and produced RM 8820, the SEM Magnification Calibration Reference Material
  • Provided measurement Services to over 45 customers over last 3 years (Line Standards 10020C–10025C) including “Golden Masters” that set the metric and traceability for many semiconductor fabs around the world.
  • Designed and began commissioning new temperature measurement system for the LSI (including thermocouples in the instrument, a temperature well for the SPRT, Hart electronics, etc.) to first run in tandem with the current system then ultimately replace it.

Planned Future Accomplishments:

  • Decrease the stated uncertainty of the NIST LSI – already one of the best instruments in the world – by 30% or more to maintain leadership in lengthscale measurement. (Complete by 4th Quarter 2011
  • Advance the state of the art in line centering technology for the LSI by implementing high-Q scanning and lock-in amplification technology, unique to NIST, using advanced digital electronics. This will make the measurement more accurate and more accessible to other laboratories. (Complete by 1st Quarter 2011)
  • Develop a wafer grid plate measuring capability and produce a reference standard. This artifact will support industry efforts to map projection field distortions. (Complete by 2nd Quarter 2012)
  • Produce and certify an SEM magnification standard reference material. This is crucial for calibration of the scale of metrology SEMs. (Complete by 3rd Quarter 2010)
  • Perform step height measurements with the C-AFM on a new set of internal master samples for a major commercial supplier of standards. (Complete by 3rd Quarter 2010)
  • Participate in new international comparison of one-dimensional pitch measurements led by National Research Council Canada using the C-AFM. (NIST contribution complete by 3rd Quarter 2011)

Customers and Collaborators:

  • SEMATECH
  • VLSI Standards (customer)
  • Intel, Nikon, Dupont/Toppan Photomask, Los Alamos, NASA, Boeing
  • Northrop Grumman Space, Pratt & Whitney, Lockheed Martin, Corning, US DOE, Mitutoyo America, ALCOA, US Customs Lab, IBM, L.S. Starret, EuroMet (customers)
  • University of North Carolina at Charlotte; LSI development collaborator

Nanomanfacturing Metrology Program

Linewidth Metrology Project

Challenge/Problem Addressed:

Measurement of linewidth or critical dimension (CD) continues to be one of the most fundamental dimensional metrology needs in the semiconductor and other nanomanufacturing industries. The demand is so complex and ubiquitous that no single metrology technique can provide the entire solution. At this scale, the largest component of measurement uncertainty is usually associated with the interaction of the specimen with the probe, which might be a mechanical stylus, photons, or a beam of charged particles. The probe interacts with the sample over some characteristic distance, dictated by its size or by diffraction or scattering. The image of any sharp edge is broadened by this interaction. The true edge position might be anywhere within the broadened signal. The result is linewidth measurement uncertainty comparable to the broadening—often tens of nanometers or more. In order to reduce this uncertainty, new techniques with sharper edge profiles can be adopted, or existing techniques can be refined. But ultimately, no method is able to achieve the required resolution, and it becomes necessary to assign the physical edge to a definite position within the broadened signal. This in turn requires understanding and modeling the physical process that produces the broadening so that it can be compensated.

Three major techniques are used within the Linewidth Metrology Project (LMP), critical-dimension AFM (CD-AFM), SEM, and optical microscopy. CD-AFM reference metrology presently offers the most straightforward and highest resolution traceability path for the physical linewidth determination, and is a leading source of reference metrology. However, CD-AFM also has some major disadvantages, including much lower throughput than SEM and optical metrology methods. A reference measurement system (RMS) based on CD-AFMs has been established at both NIST and SEMATECH. Both of these tools were calibrated using NIST methodologies, standards, and measurements on other NIST instruments. Tip widths with standard uncertainties less than 1 nm are achieved through reference to the NIST-developed single crystal critical dimension reference material (SCCDRM). Modeling of the AFM imaging process is also important. Techniques developed by NIST in the 1990’s for reconstruction of tip shape from calibration images have now been expanded to include applicability to undercut tips and samples.

In the semiconductor manufacturing industry, routine linewidths for process monitoring are measured using CD-SEMs. NIST is developing a reference SEM for calibration of transfer artifacts that are measured using the same imaging physics. The metrology for this reference instrument is based on a laser interferometer stage with sub-nanometer resolution. Of equal or greater importance, however, is NIST’s work on SEM image modeling. Monte Carlo simulations of the beam-specimen interactions determine the instrument response profile from various line-edge shapes. To quickly solve the intransigent inverse problem in a production setting—that is, determining the line-edge shape from the image intensity

profile—a library of images is modeled that can be quickly scanned and interpolated for the best match. This is known as the model-based library (MBL) method

For photomask linewidth measurements, transmission optical UV microscopy is the ideal technique, because transmission imaging produces relatively simple high contrast images with a well-defined baseline. Also, transmission microscopy morphologically emulates the photomask application in the wafer exposure process. The NIST scanning UV microscope uses on-axis sampling to reduce aberration and distortion effects. The position of the scanning stage is measured by a laser interferometer. Accurate physics-based modeling is necessary to deduce the object dimensions from the microscope image. Image modeling also extends the limits of optical metrology to feature sizes well below the wavelength of light used, as demonstrated by Dianna Nyyssonen at NIST in the 1980s.

Objective(s):

  • Develop fully characterized metrology instruments with state-of-the-art uncertainties for linewidth measurements. These instruments should ideally use the same probes (light, particle beam, mechanical tip) that industry uses, so that the strengths and limitations of each method can be understood and exploited.
  • Develop accurate physics-based models of the probe-specimen interaction and image forming process so that the true linewidth, with an accurate estimate of uncertainty, can be determined from the data acquired from linewidth measuring instruments.
  • Perform inter-method comparisons for the validation of the respective instruments and models.

Accomplishments:

  • Completed experiments for next generation of single crystal critical dimension reference material (SCCDRM) project. (CD-AFM)
  • Delivered NIST Standard Reference Material 2059, Photomask Linewidth Standard.
  • Validated and compared different methods of solving Maxwell’s equations for optical image modeling, using nominal but realistic parameters.
  • Completed testing of Model-based library method for CD-SEM, allowing application of instrument models to metrology.
  • Ported SEM imaging modeling code, MONSEL, from a restricted geometry version to a general 3-D geometry version, extending the number and types of samples and measurements that can benefit from the methods we have developed.
  • Extended SPM modeling dilation (image simulation) and erosion (sample or tip reconstruction) methods to general 3-D objects, including reentrant or undercut objects. Results of these studies, applicable to CD-AFM, were published in Ultramicroscopy.
  • Collaborated with industry users of SCCDRM at IBM and Intel to minimize application uncertainties in the calibration of CD-AFM tip width and step height.
  • Completed a new transmission electron microscope (TEM) transfer experiment, allowing a comparison of two methods of TEM reference metrology, high resolution TEM (HRTEM) and high-angle annular dark-field scanning TEM (HAADF-STEM).
  • Collaborated with University of Edinburgh on mask metrology, publishing a comparison of NIST AFM reference measurements obtained by optical and electrical methods. (CD-AFM)
  • Moved the UV Microscope into a new laboratory in the Advanced Metrology Laboratory, where ambient vibration and temperature variations are smaller than in its previous home. The largely rebuilt system and will soon be operational again. A relatively clean environment has been established around the UV microscope, and an improved specimen stage with a greater range of motion allows access to the entire quality area of a 150 mm (6 inch) photomask and gives better motion control.
  • Modeled SEM beam shape effects (with Hitachi guest researcher, who has taken copies of our modeling codes back to Hitachi).
  • Proposed and promoted at industry meetings an improved unbiased metric for linewidth roughness.
  • Improved some of the physics employed by our SEM models, in particular the barrier penetration model (how electrons change energy and direction when they cross an interface between materials) and the stopping power model (the rate at which electrons lose energy in materials)

Planned Future Accomplishments:

  • Improve our model of secondary electron generation. The new model will include many-body effects (e.g., screening) and exhibit better agreement with NIST’s database of inelastic mean free paths (standard reference database 71). (Completion by 1st Quarter 2011)
  • Extend our blind reconstruction method to dexel-represented objects. This is important because of the difficulty of obtaining accurately known tip characterizers. (Completion by 3rd Quarter 2011)
  • Serve as the pilot laboratory for a preliminary key comparison of the nanoscale linewidth measurement capabilities of national measurement institutes around the world, sponsored by the Bureau International des Poids et Mesures (BIPM) in Paris. (Begin by 4th Quarter 2008; key comparison expected to run through 4th Quarter 2012)
  • Use the CD-AFM to evaluate prototype polysilicon linewidth standards, and perform new linewidth measurements of SRM 2059 and the photomask that will be used for the BIPM intercomparison. (Completion by 4th Quarter 2009)
  • Evaluate uniformity of new SCCDRM prototype specimens during CY08.
  • Incorporate a gas-scattering model into JMONSEL that will allow us to model imaging in variable pressure SEMs. (These instruments employ a low pressure ambient gas, some of which becomes ionized and neutralizes charges that accumulate on the sample surface. The new modeling capability is intended to permit measurements on insulating samples.)
  • Collaborate with researchers at the Illinois Institute of Technology to develop methods to speed up these calculations through hardware acceleration, using the computer’s graphics processor.
  • Work with representatives of the photomask industry and the SEMATECH Mask Advisory Steering Council to determine their requirements in the next generation NIST photomask linewidth standard. This standard will likely contain, in addition to isolated lines, spaces, and pitch patterns from approximately100 nm wide, a set of iso/dense line/space arrays as well as large scale 2-dimensional features in response to requests from the machine vision industry. Improve optical image modeling capability to better agree with real microscope images. Our AFM photomask measurements will then be used for confirmation of optical measurements instead of as reference measurements. In addition, we will incorporate the new specimen stage into the UV Microscope and make many other improvements.

Customers and Collaborators:

  • NuFlare, e-beam writer manufacturer
  • Hitachi
  • Illinois Institute of Technology
  • Veeco Instruments modeling.
  • SEMATECH
  • VLSI Standards
  • IBM
  • Intel
  • SEMI International Standards

Nanomanfacturing Metrology Program

Overlay and Registration Metrology Project

Challenge/Problem Addressed:

A significant challenge for the semiconductor manufacturing industry is to develop advanced metrology techniques for overlay and registration. The recent advance of double patterning techniques, which directly couple overlay and line measurements, has made this metrology more urgent still.

Currently, optical techniques are most widely used for this kind of metrology, and recent advances in this high resolution optical techniques have made novel overlay target designs possible. A technical collaboration between NIST and SEMATECH has led to the patenting of new overlay structures. Calibrated overlay SRMs wafers designed jointly with the industry are now available. The development of new target designs, instrument optimization and modeling, and calibration techniques is a continuing task.

The overlay metrology project is an internationally recognized effort with the goal of developing techniques and targets for improved overlay metrology, primarily for the semiconductor industry. The project has relied on close collaboration with industry leaders in optical tool development and users of overlay metrology tool sets as well as with SEMATECH. Results include the adoption of several overlay measurement techniques and calibration strategies by industry as well as the new Overlay SRM.

Numerous technical accomplishments include CCD mapping methods, optical reversal methods, advanced optics simulation, SRMs 5000 and 5001, sub-50 nm optical imaging, engineered target design, and recently the scatterfield optical technique. The overlay project has developed into a leading international effort in high-resolution optical imaging techniques for overlay metrology.

The project hardware utilizes three optical systems built from the ground up, including a system that combines confocal optical microscopy and interferometry with advanced high resolution CCD acquisition. This system was the primary optical tool in the NIST overlay metrology project and has generated numerous publications on optical microscope design, automated edge detection and focus methods, interferometer and optical system alignment, and self calibration methods applied to overlay measurements and standards.

This project has also been a leader in the comprehensive evaluation of edge detection methods and automated focus algorithms. These methods have been adopted by leading industrial users as well as the SEMATECH overlay metrology advisory group, and have led to the development of “self-calibration” methods applicable to overlay metrology systems. This methodology has shown significant quantitative performance improvements in optical systems and charge-coupled camera (CCD) data acquisition systems that are widely used in the industry. The methods are now being adopted by industry tool manufacturers, with significant long range impact on tool performance.

The optics project has the lead role in the development of two Standard Reference Materials. SRM 5000, a comprehensive set of overlay target standards on 203 mm (8 inch) wafers developed in close contact with industry representatives, is now available to the semiconductor industry. SRM 5001, also developed in close collaboration with industry, has applied a novel approach to the production of 150 mm (6 inch) photomask standards, calibrated on the NIST Nikon 5i/linescale interferometer metrology tools. Several of these standards have been fabricated, calibrated and sold by NIST’s SRM office – a demonstration of industry’s interest in these artifacts. The SRM 5000 overlay artifact has been used to evaluate optical tool performance and improve tool set performance at leading semiconductor manufacturers, and has also served as the artifact for the development of reference metrology for overlay at SEMATECH.

Objective(s):

  • Fully characterize and develop new metrology instruments with state-of-the-art uncertainties for overlay measurements. Multiple technologies are now being implemented using different probe technologies such as light, particle beam, and mechanical tips.
  • Develop physics-based models which accurately and comprehensively model the sample probe interactions and the instrumentation. Model the image forming process accurately for uncertainty determination.
  • Develop reference metrology for the validation and development of physical models and multiple technique metrology.

Accomplishments:

  • Optics project staff led the design for the first overlay metrology advisory group (OMAG) reticle set, in collaboration with SEMATECH and OMAG.
  • Key new designs fabricated with the OMAG 4 reticle set and AMAG 5 reticle set that were used in the fabrication of the new overlay SRM.
  • Calibrated overlay wafers used by leading semiconductor manufacturers and provided the central traceability measurement for SEMATECH overlay reference metrology effort.
  • Several of the new overlay techniques developed and published by this NIST project have been adopted by leading optical tool manufacturers.

Planned Future Accomplishments:

  • Work closely with SEMATECH and the industry to implement reference metrology and validate optical overlay measurements using optical, particle beam, and AFM probes. (3rd Quarter 2010)
  • Identify and calibrate the next generation of overlay wafers standards. Quantitatively evaluate the scatterfield targets for use as overlay standards. (1st Quarter 2009)
  • Model and evaluate the new super-target overlay designs in collaboration with SEMATECH. Fabricate wafers for use by the industry and test target performance. (4th Quarter 2008)
  • Develop and make available to the industry optical techniques for use in overlay metrology. This includes optical alignment and characterization techniques as well as improved optical configurations. (2nd Quarter 2011)

Customers and Collaborators:

  • Collaborating with several leading optical metrology tool manufacturers.
  • AMD
  • SEMATECH, ISMI
  • IBM
  • Intel
  • SEMI International Standards

 

 

Manufacturing Engineering Laboratory Skip navigation